引言:本文我們簡單介紹下Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束。
1. 普通I/O約束
管腳位置約束: set_property PAKAGE_PIN “管腳編號” [get_ports “端口名稱”]
管腳電平約束: set_property IOSTANDARD “電壓” [get_ports “端口名稱”]
舉例:
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property PACKAGE_PIN M14 [get_ports {led[0]}
]set_property PACKAGE_PIN M15 [get_ports {led[1]}]
注意:
1)以上語法對大小寫敏感;
2)端口名稱為數(shù)組時,需要用{}括起來,端口名不能為關(guān)鍵字。
2. 差分信號約束
2.1 普通差分約束
差分信號約束語法和1節(jié)中相同。此處僅舉例。
1)HR I/O Bank,VCCO = 3.3V,HDMI接口約束
set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]
set_property PACKAGE_PIN V20 [get_ports {TMDS_data_p[0]}]
set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_p]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_p[0]}]
2)HP I/O Bank,VCCO = 1.8V,HDMI接口約束
set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]
set_property PACKAGE_PIN V20 [get_ports {TMDS_data_p[0]}
]set_property IOSTANDARD LVDS [get_ports TMDS_clk_p]
set_property IOSTANDARD LVDS [get_ports {TMDS_data_p[0]}]
注意:
1)差分信號約束,只約束P管腳即可,系統(tǒng)自動匹配N管腳約束,當(dāng)然_P和_N管腳都約束也沒有問題;
2)差分信號電平要根據(jù)VCCO Bank電壓進行相應(yīng)的約束。
2.2收發(fā)器差分信號約束
1)收發(fā)器MGTREFCLK時鐘約束管腳位置約束:
set_property LOC “管腳編號” [get_ports “端口名稱”]
舉例:
set_property LOC G7 [get_ports Q2_CLK0_GTREFCLK_PAD_N_IN ]
set_property LOC G8 [get_ports Q2_CLK0_GTREFCLK_PAD_P_IN ]
2)收發(fā)器MGT通道約束
對于GTXE2_CHANNEL通道約束:一種方法是可以利用7系列FPGAs收發(fā)器向?qū)В谂渲煤檬瞻l(fā)器配置參數(shù)后,自動生成XDC模板,然后將該模板應(yīng)用到自己的設(shè)計中;第二種方法是自己編寫XDC約束文件,其位約束位置要參照具體原理圖信號管腳來進行編寫約束文件。舉例:對于圖1中四通道收發(fā)器對GTXE2_CHANNEL約束。
圖1、四通道GTX收發(fā)器框圖
收發(fā)器通道位置約束:
set_property LOC “ GTXE2_CHANNEL_X* Y * ” [get_cells “gtxe_2例化路徑”]
舉例:
圖2、收發(fā)器通道位置約束
注意:gtxe_2例化路徑參照圖3所示,路徑名稱依據(jù)具體工程實現(xiàn)進行修改。
圖3、gtxe_2例化路徑參照圖
編輯:lyn
-
管腳
+關(guān)注
關(guān)注
1文章
230瀏覽量
32766 -
約束
+關(guān)注
關(guān)注
0文章
82瀏覽量
12971 -
Xilinx FPGA
+關(guān)注
關(guān)注
1文章
29瀏覽量
7306
原文標(biāo)題:Xilinx FPGA管腳XDC約束之:物理約束
文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
發(fā)布評論請先 登錄
XILINX XCZU67DR FPGA完整原理圖
FPGA時序約束之設(shè)置時鐘組

Linux系統(tǒng)中通過預(yù)留物理內(nèi)存實現(xiàn)ARM與FPGA高效通信的方法

國外物理服務(wù)器詳細解析
【米爾-Xilinx XC7A100T FPGA開發(fā)板試用】Key-test
基于Xilinx ZYNQ7000 FPGA嵌入式開發(fā)實戰(zhàn)指南
調(diào)試Xilinx Zynq + ADS58C48,ADC使用的是LVDS模式,ADC不能正常工作怎么解決?
fpga 管腳不讓綁定的問題,綁定時提示: Not assignable
時序約束一主時鐘與生成時鐘

采用Xilinx FPGA的AFE79xx SPI啟動指南

Xilinx 7系列FPGA PCIe Gen3的應(yīng)用接口及特性

評論