chinese直男口爆体育生外卖, 99久久er热在这里只有精品99, 又色又爽又黄18禁美女裸身无遮挡, gogogo高清免费观看日本电视,私密按摩师高清版在线,人妻视频毛茸茸,91论坛 兴趣闲谈,欧美 亚洲 精品 8区,国产精品久久久久精品免费

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado 設(shè)計輸入紀事—RTL 設(shè)計輸入

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-16 16:21 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

注:本文轉(zhuǎn)自賽靈思中文社區(qū)論壇,源文鏈接在此。本文原作者為XILINX工程師。

以下為個人譯文,僅供參考,如有疏漏之處,還請不吝賜教。

本篇博文是面向希望學(xué)習(xí)使用 Vivado 進行 FPGA 設(shè)計輸入的新手的系列博文第一講。

這些實踐旨在為用戶提供快速入門指導(dǎo),幫助其簡要了解工具流程原理。我們選擇了一項非常簡單的設(shè)計,便于讀者理解流程中的不同步驟。

這些實踐將按如下順序展示:RTL 流程、基于 IP 的流程、基于 HLS 的流程、基于 IP integrator 的流程,最后是混用前述流程創(chuàng)建設(shè)計。

第一項實踐是 RTL 流程。 每個步驟都包含截屏,以便用戶自行嘗試時參考。

步驟如下:

1.調(diào)用 Vivado。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

2.用戶提供自己選擇的工程名稱(該工具使用的默認工程名稱為 project_1),并提供工程創(chuàng)建路徑,然后單擊“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

3.默認情況下,該工具會選中“選擇 RTL 工程 (Select RTL Project)”,然后單擊“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

4. 該工具會提示您選擇“Add Sources”以添加源文件。選擇“添加文件 (Add Files)”,然后提供此處隨附的 RTL 文件 (top.v)。

單擊“下一步 (Next)”。

poYBAGIMpuiATdUtAACa5Gb2xmY151.png

以下提供了 RTL 的詳細信息:

pYYBAGIMpuqAS1aHAADhF-IAkIo838.png

RTL 用于描述自由運行的簡單 32 位計數(shù)器。當(dāng)該計數(shù)器達到其最大值時,就會翻轉(zhuǎn)觸發(fā)器。此觸發(fā)器連接到輸出。

這樣會使用另一個緩沖器 (IBUFDS) 來創(chuàng)建時鐘差分對,其輸出將在設(shè)計中使用。在下一節(jié)中,我們將講解為何使用此緩沖器。

5. 您將看到“添加約束 (Add Constraints)”對話框,其中包含“添加 (Add Files)”選項。

選擇“Add Files”,提供此處隨附的 top.xdc,然后單擊“Next”。

pYYBAGIMpuuAQJhiAACDA0JtVMw359.png

6.單擊開發(fā)板選項卡,搜索 zcu102,然后選擇此處顯示的開發(fā)板(Zynq UltraScale+ ZCU102 評估板)。

單擊“下一步 (Next)”。

poYBAGIMpu2AVS7pAAEFxM43emY110.png

7.在以下窗口中,單擊“完成 (Finish)”。

8.在左側(cè),您將看到“生成比特流 (Generate Bitstream)”按鈕。

單擊此按鈕。

pYYBAGIMpu-AQ1SbAABD3JCQ8NI025.png

9.這樣將顯示如下提示:

poYBAGIMpvCACPaQAADukalIHsI021.png

單擊“是 (Yes)”。這樣將彈出另一條提示,要求您啟動運行。單擊“OK”。

這樣就會觸發(fā)綜合 (Synthesis),然后實現(xiàn) (Implementation),并且將生成比特流。

實踐至此完成。

XDC 文件內(nèi)容:

XDC 文件包含:

create_clock -name clk_p -period 8 [get_ports clk_p]
set_property LOC G21 [get_ports clk_p]
set_property LOC AG14 [get_ports dout]

set_property IOSTANDARD LVCMOS18 [get_ports dout]
set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports clk_p]

使用 IBUFDS 的原因:

使用 IBUFDS 的原因是因為我們選擇的開發(fā)板需要差分時鐘。

每塊開發(fā)板都有其自己特定的管腳 LOC 及其支持的系統(tǒng)時鐘頻率。

此開發(fā)板支持 300Mhz 和 125Mhz,因此我們使用 125Mhz 和與之對應(yīng)的管腳 LOC。輸出連接至 LED,其 LOC 為 AG14。最后 2 條語句用于指定端口的 IOSTANDARD。

審核編輯:符乾江

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    392

    瀏覽量

    62027
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    844

    瀏覽量

    70058
收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評論

    相關(guān)推薦
    熱點推薦

    詳解FPGA的輸入輸出處理

    inout端口DataBus作為輸出的時候值為DataOut,作為輸入時為高阻態(tài)。
    的頭像 發(fā)表于 10-15 10:42 ?635次閱讀
    詳解FPGA的<b class='flag-5'>輸入</b>輸出處理

    AMD Vivado設(shè)計套件2025.1版本的功能特性

    隨著 AMD Spartan UltraScale+ 系列現(xiàn)已投入量產(chǎn),解鎖其功能集的最快途徑便是采用最新 AMD Vivado 工具版本( 2025.1 或更高版本)和全新操作指南資源。該集
    的頭像 發(fā)表于 09-23 09:15 ?789次閱讀
    AMD <b class='flag-5'>Vivado</b>設(shè)計套件2025.1版本的功能特性

    如何設(shè)計具有并行接口的數(shù)字輸入模塊

    MAX22190 和 MAX22199 默認提供串行化數(shù)據(jù),但在需要實時、低延遲或更高速度的系統(tǒng)中,最好為每個工業(yè)級數(shù)字輸入通道提供電平轉(zhuǎn)換的實時邏輯信號。這些工業(yè)級數(shù)字輸入在基于SPI或引腳
    的頭像 發(fā)表于 08-19 09:23 ?1025次閱讀

    怎么選擇合適的差分探頭-輸入電容

    輸入電容在選購差分探頭時,通常有輸入電容大小參數(shù)一欄,以下是選擇輸入電容大小需要考慮以下的因素:被測信號的特征如信號帶寬/上升時間。通常測高頻信號需要極低的輸入電容,且由于
    的頭像 發(fā)表于 07-29 15:30 ?205次閱讀
    怎么選擇合適的差分探頭-<b class='flag-5'>輸入</b>電容

    Vivado HLS設(shè)計流程

    為了盡快把新產(chǎn)品推向市場,數(shù)字系統(tǒng)的設(shè)計者需要考慮如何加速設(shè)計開發(fā)的周期。設(shè)計加速主要可以從“設(shè)計的重用”和“抽象層級的提升”這兩個方面來考慮。Xilinx 推出的 Vivado HLS 工具可以
    的頭像 發(fā)表于 04-16 10:43 ?1186次閱讀
    <b class='flag-5'>Vivado</b> HLS設(shè)計流程

    FP7126 LED驅(qū)動芯片 四路共陽輸出 降壓恒流 PWM調(diào)光 LED智能照明

    輸入電壓
    jf_15550837
    發(fā)布于 :2024年12月05日 14:32:31

    每次Vivado編譯的結(jié)果都一樣嗎

    tool inputs? 對大多數(shù)情況來說,Vivado編譯的結(jié)果是一樣的,但要保證下面的輸入是一樣的: Design sources Constraints Tcl scripts and command
    的頭像 發(fā)表于 11-11 11:23 ?1698次閱讀
    每次<b class='flag-5'>Vivado</b>編譯的結(jié)果都一樣嗎

    高級定時器PWM輸入模式的配置方法

    我們將向大家介紹高級定時器的另一個常見應(yīng)用——PWM輸入模式。在本節(jié)課中,我們將先圍繞輸入捕獲模式展開,并重點描述PWM輸入模式和涉及的寄存器,最后通過一個實驗例程去介紹PWM輸入模式
    的頭像 發(fā)表于 11-08 16:48 ?5014次閱讀
    高級定時器PWM<b class='flag-5'>輸入</b>模式的配置方法

    運算放大器的輸入阻抗那么大,為什么還需要輸入偏置電流?1000字搞定運放電路設(shè)計之輸入偏置電流

    ▼關(guān)注微信公眾號:硬件那點事兒▼Part 01前言想必大家都知道我們在應(yīng)用運放搭建電路時,使用的最基本的一條設(shè)計原則就是“虛斷”,所謂虛斷就是運放輸入電阻比較大,輸入電流就比較小,小到我們可以
    的頭像 發(fā)表于 11-06 16:17 ?2428次閱讀

    Vivado使用小技巧

    有時我們對時序約束進行了一些調(diào)整,希望能夠快速看到對應(yīng)的時序報告,而又不希望重新布局布線。這時,我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時序約束。如果調(diào)整
    的頭像 發(fā)表于 10-24 15:08 ?1304次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    輸入和輸出電壓的區(qū)別

    電子發(fā)燒友網(wǎng)站提供《輸入和輸出電壓的區(qū)別.pdf》資料免費下載
    發(fā)表于 10-24 09:20 ?0次下載
    <b class='flag-5'>輸入</b>和輸出電壓的區(qū)別

    開關(guān)量輸入和模擬量輸入各代表什么意思,有什么區(qū)別,你了解嗎?看完這篇文章全明白!

    定義: 開關(guān)量輸入是指接收外部設(shè)備提供的通斷信號或高低電平信號的輸入端口。這些信號通常來自各種傳感器、限位開關(guān)、按鈕等設(shè)備。 開關(guān)量輸入信號只有兩種狀態(tài):“開”(ON)和 “關(guān)”(OFF),或者
    的頭像 發(fā)表于 10-22 16:25 ?3609次閱讀

    高電平輸入和低電平輸入是什么意思

    ”。 1. 數(shù)字信號基礎(chǔ) 數(shù)字信號是電子系統(tǒng)中用來表示信息的電壓或電流的變化。在最簡單的形式中,數(shù)字信號只有兩種狀態(tài):高電平和低電平。這些狀態(tài)對應(yīng)于二進制數(shù)字系統(tǒng)中的“1”和“0”。 1.1 高電平輸入 高電平輸入是指電路接收到的
    的頭像 發(fā)表于 10-17 14:56 ?9902次閱讀

    ADC輸入保護

    電子發(fā)燒友網(wǎng)站提供《ADC輸入保護.pdf》資料免費下載
    發(fā)表于 10-17 09:55 ?5次下載
    ADC<b class='flag-5'>輸入</b>保護