資料介紹
I.彈跳消除模塊源程序如下:
--content definition of component "debounce"
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--io signal declaraction
entity debounce is
port(clk: in std_logic; --basic clock input
clr:in std_logic;
touch: in std_logic; --push button signal
push_out: out std_logic); --cleared push botton signal
- 完整源程序分享 29次下載
- OLED源程序免費下載 5次下載
- 簡易信號發(fā)生器的源程序免費下載 42次下載
- 使用單片機制作電池容量測試源程序免費下載 19次下載
- 為工控設(shè)備增加溫度和時鐘顯示的電路源程序免費下載 5次下載
- 單片機控制步進電機的源程序免費下載 38次下載
- 液晶顯示電冰箱溫控器的源程序免費下載 16次下載
- 使用單片機實現(xiàn)電子琴的源程序代碼免費下載
- 使用單片機設(shè)計倒車雷達的源程序及AD仿真圖免費下載
- 紅外線發(fā)射與接收源程序免費下載 62次下載
- 使用51單片機進行循跡智能小車的源程序免費下載
- FT4未來時鐘的正式版的源程序和電路原理圖免費下載 0次下載
- 直流電動機控制模塊整體電路(控制直流電機正反轉(zhuǎn)源程序 仿真圖)資料下載 99次下載
- java 程序編程指導(dǎo)源程序 8次下載
- 128x64液晶顯示模塊源程序
- 交流接觸器反復(fù)彈跳怎么解決 交流接觸器是干什么用的 7730次閱讀
- 無彈跳開關(guān)電路原理圖講解 949次閱讀
- e2 studio環(huán)境下添加源程序到當前工程的注意事項 819次閱讀
- I2C總線驅(qū)動的C語言源程序詳細說明 5262次閱讀
- 單片機下載程序的三種方式介紹 2.3w次閱讀
- 連接器是怎么讀懂C源程序的 1531次閱讀
- PLC程序加密解密方法詳解 1.7w次閱讀
- 四款炫酷Linux開源程序照亮你的生活! 5469次閱讀
- LDC1000在STM32的程序 LDC1000的STM32驅(qū)動源程序 4229次閱讀
- 315m無線模塊怎么接收程序?程序是什么? 7857次閱讀
- 淺談 Linux 程序 Orbital Apps 開源程序集合 908次閱讀
- cc2541中文數(shù)據(jù)手冊及cc2541藍牙源程序 2.7w次閱讀
- vb語言程序簡單設(shè)計實例(5款vb語言設(shè)計程序分享) 11.1w次閱讀
- java程序編譯后會產(chǎn)生什么 1.6w次閱讀
- 命令解釋程序工作流程 5216次閱讀
下載排行
本周
- 1電子電路原理第七版PDF電子教材免費下載
- 0.00 MB | 1490次下載 | 免費
- 2單片機典型實例介紹
- 18.19 MB | 92次下載 | 1 積分
- 3S7-200PLC編程實例詳細資料
- 1.17 MB | 27次下載 | 1 積分
- 4筆記本電腦主板的元件識別和講解說明
- 4.28 MB | 18次下載 | 4 積分
- 5開關(guān)電源原理及各功能電路詳解
- 0.38 MB | 10次下載 | 免費
- 6基于AT89C2051/4051單片機編程器的實驗
- 0.11 MB | 4次下載 | 免費
- 7藍牙設(shè)備在嵌入式領(lǐng)域的廣泛應(yīng)用
- 0.63 MB | 3次下載 | 免費
- 89天練會電子電路識圖
- 5.91 MB | 3次下載 | 免費
本月
- 1OrCAD10.5下載OrCAD10.5中文版軟件
- 0.00 MB | 234313次下載 | 免費
- 2PADS 9.0 2009最新版 -下載
- 0.00 MB | 66304次下載 | 免費
- 3protel99下載protel99軟件下載(中文版)
- 0.00 MB | 51209次下載 | 免費
- 4LabView 8.0 專業(yè)版下載 (3CD完整版)
- 0.00 MB | 51043次下載 | 免費
- 5555集成電路應(yīng)用800例(新編版)
- 0.00 MB | 33562次下載 | 免費
- 6接口電路圖大全
- 未知 | 30320次下載 | 免費
- 7Multisim 10下載Multisim 10 中文版
- 0.00 MB | 28588次下載 | 免費
- 8開關(guān)電源設(shè)計實例指南
- 未知 | 21539次下載 | 免費
總榜
- 1matlab軟件下載入口
- 未知 | 935053次下載 | 免費
- 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
- 78.1 MB | 537791次下載 | 免費
- 3MATLAB 7.1 下載 (含軟件介紹)
- 未知 | 420026次下載 | 免費
- 4OrCAD10.5下載OrCAD10.5中文版軟件
- 0.00 MB | 234313次下載 | 免費
- 5Altium DXP2002下載入口
- 未知 | 233045次下載 | 免費
- 6電路仿真軟件multisim 10.0免費下載
- 340992 | 191183次下載 | 免費
- 7十天學(xué)會AVR單片機與C語言視頻教程 下載
- 158M | 183277次下載 | 免費
- 8proe5.0野火版下載(中文版免費下載)
- 未知 | 138039次下載 | 免費
評論