chinese直男口爆体育生外卖, 99久久er热在这里只有精品99, 又色又爽又黄18禁美女裸身无遮挡, gogogo高清免费观看日本电视,私密按摩师高清版在线,人妻视频毛茸茸,91论坛 兴趣闲谈,欧美 亚洲 精品 8区,国产精品久久久久精品免费

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog比較方便的特點(diǎn)

FPGA之家 ? 來(lái)源:FPGA之家 ? 作者:FPGA之家 ? 2022-08-17 09:01 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

動(dòng)態(tài)截取固定長(zhǎng)度數(shù)據(jù)語(yǔ)法,即+:和-:的使用,這兩個(gè)叫什么符號(hào)呢?運(yùn)算符嗎?

Verilog比較方便的一個(gè)特點(diǎn)就是數(shù)據(jù)的截取和拼接功能了,截取使用方括號(hào)[],拼接使用大括號(hào){},例如


reg[7:0]vect; wirea; wire[3:0]b, wire[5:0]c; assigna=vect[1];//取其中1Bit assignb[3:0]=vect[7:4];//截取4Bit assingc[5:0]={a,b[3:0],1'b1};//拼接

于是舉一反三(zi zuo cong ming),為了實(shí)現(xiàn)動(dòng)態(tài)截取固定長(zhǎng)度數(shù)據(jù)的功能,使用軟件編程的思維寫了如下語(yǔ)句,功能很好理解,根據(jù)cnt的值,每次截取vect的5Bit數(shù)據(jù)。:


reg[7:0]vect; reg[1:0]cnt; wire[4:0]out; assignout=vect[cnt+4:cnt];

一頓操作猛如虎,編譯一看傻如狗。使用ModelSim編譯之后,提示有如下語(yǔ)法錯(cuò)誤:


**Error:test.v(10):Rangemustbeboundedbyconstantexpressions.

提示vect的范圍必須為常量表達(dá)式。也就是必須為,vect[6:2]或vect[7:4],不能是vect[a:0],vect[4:b],或vect[a:b]。額,這該怎么辦呢?

既然有這個(gè)使用場(chǎng)景,那Verilog在設(shè)計(jì)之初就應(yīng)該會(huì)考慮到這個(gè)應(yīng)用吧!于是就去翻IEEE的Verilog標(biāo)準(zhǔn)文檔,在5.2.1章節(jié)發(fā)現(xiàn)了一個(gè)用法可以實(shí)現(xiàn)我這個(gè)需求,那就是+:和-:符號(hào),這個(gè)用法很少,在大部分關(guān)于FPGA和Verilog書籍中都沒有提到。

29de4094-1dc1-11ed-ba43-dac502259ad0.jpg

(獲取IEEE官方Verilog標(biāo)準(zhǔn)文檔IEEE_Verilog_1364_2005.pdf下載,公眾號(hào)(ID:電子電路開發(fā)學(xué)習(xí))后臺(tái)回復(fù)【Verilog標(biāo)準(zhǔn)】)

大致意思就是,可以實(shí)現(xiàn)動(dòng)態(tài)截取固定長(zhǎng)度的數(shù)據(jù),基本語(yǔ)法為:


vect[base+:width]或[base-:width]

其中base可以為變量,width必須為常量。

下面來(lái)舉幾個(gè)例子來(lái)理解這個(gè)符號(hào)。

有如下定義:


reg[7:0]vect_1; reg[0:7]vect_2; wire[2:0]out;

以下寫法分別表示什么呢?


vect_1[4+:3]; vect_1[4-:3]; vect_2[4+:3]; vect_2[4-:3];

分為三步:

1.先看定義。

vect_1[7:0]定義是大端模式,則vect_1[4+:3]和vect_1[4-:3]轉(zhuǎn)換后也一定為大端模式;vect_2[0:7]定義是小端模式,則vect_2[4+:3]和vect_2[4-:3]轉(zhuǎn)換后也一定為小端模式。

2.再看升降序。

其中+:表示升序,-:表示降序

3.看寬度轉(zhuǎn)換。


vect_1[4+:3]表示,起始位為4,寬度為3,**升序**,則vect_1[4+:3]=vect_1[6:4] vect_1[4-:3]表示,起始位為4,寬度為3,**降序**,則vect_1[4-:3]=vect_1[4:2]

29efbad6-1dc1-11ed-ba43-dac502259ad0.jpg

同理,


vect_2[4+:3]表示,起始位為4,寬度為3,升序,則vect_2[4+:3]=vect_2[4:6] vect_2[4-:3]表示,起始位為4,寬度為3,降序,則vect_2[4-:3]=vect_2[2:4]

2a0b6eca-1dc1-11ed-ba43-dac502259ad0.jpg

ModelSim仿真驗(yàn)證,新建test.v文件:


moduletest; reg[7:0]vect_1; reg[0:7]vect_2; initial begin vect_1='b0101_1010; vect_2='b0101_1010; $display("vect_1[7:0]=%b,vect_2[0:7]=%b",vect_1,vect_2); $display("vect_1[4+:3]=%b,vect_1[4-:3]=%b",vect_1[4+:3],vect_1[4-:3]); $display("vect_2[4+:3]=%b,vect_2[4-:3]=%b",vect_2[4+:3],vect_2[4-:3]); $stop; end endmodule

在ModelSim命令窗口輸入:


//進(jìn)入到源文件所在文件夾 cdc:/users/whik/desktop/verilog //編譯 vlogtest.v //仿真 vsimwork.test //運(yùn)行 run-all //運(yùn)行結(jié)果 #vect_1[7:0]=01011010,vect_2[0:7]=01011010 #vect_1[4+:3]=101,vect_1[4-:3]=110 #vect_2[4+:3]=101,vect_2[4-:3]=011 #**Note:$stop:test.v(15) #Time:0psIteration:0Instance:/test #BreakinModuletestattest.vline15

這種語(yǔ)法表示需要注意,前者起始位可以是變量,后者的寬度必須是常量,即vect[idx+:cnt]不符合語(yǔ)法標(biāo)準(zhǔn),vect[idx+:4]或vect[idx-:4]才符合。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    7293

    瀏覽量

    93379
  • Verilog
    +關(guān)注

    關(guān)注

    30

    文章

    1368

    瀏覽量

    113524
  • 編譯
    +關(guān)注

    關(guān)注

    0

    文章

    682

    瀏覽量

    34771

原文標(biāo)題:這個(gè)Verilog語(yǔ)法你一定不知道

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評(píng)論

    相關(guān)推薦
    熱點(diǎn)推薦

    Verilog_比較細(xì)節(jié)的語(yǔ)法

    Verilog比較細(xì)節(jié)的`語(yǔ)法
    發(fā)表于 09-17 23:47

    fpga用什么軟件工具開代碼比較方便

    fpga用什么軟件工具開代碼比較方便比如看C語(yǔ)言,用Source Insight比較方便,但是發(fā)現(xiàn)用source insight 看verilog
    發(fā)表于 04-15 21:55

    vhdl與verilog語(yǔ)法比較

    VHDL與verilog比較1vhdl語(yǔ)法要求嚴(yán)格 如賦值的數(shù)據(jù)類型必須一致, 左邊為整數(shù),右邊必須也為整數(shù), 左邊為矢量右邊必須也為矢量左右的數(shù)據(jù)的 位寬必須也一致,例如: library
    發(fā)表于 05-30 15:12

    現(xiàn)在社會(huì)上Verilog與vhdl哪個(gè)用的比較多?

    現(xiàn)在社會(huì)上Verilog與vhdl哪個(gè)用的比較多?
    發(fā)表于 09-08 20:45

    【FPGA學(xué)習(xí)】Verilog HDL有哪些特點(diǎn)

    Verilog HDL 的特點(diǎn)Verilog HDL 語(yǔ)言不僅定義了語(yǔ)法,而且對(duì)每個(gè)語(yǔ)法結(jié)構(gòu)都定義了清晰的模擬、仿真語(yǔ)義。使用這種語(yǔ)言編寫的模型可以方便地使用
    發(fā)表于 09-18 09:33

    Verilog HDL與VHDL及FPGA的比較分析

    Verilog HDL與VHDL及FPGA的比較分析. Verilog HDL優(yōu)點(diǎn):類似C語(yǔ)言,上手容易,靈活。大小寫敏感。在寫激勵(lì)和建模方面有優(yōu)勢(shì)。
    發(fā)表于 01-11 10:45 ?1533次閱讀

    VHDL,Verilog,System verilog比較

    本文簡(jiǎn)單討論并總結(jié)了VHDL、Verilog,System verilog 這三中語(yǔ)言的各自特點(diǎn)和區(qū)別 As the number of enhancements
    發(fā)表于 01-17 11:32 ?0次下載

    verilog與VHDL相互轉(zhuǎn)化軟件

    一款基于verilog與VHDL相互轉(zhuǎn)化的軟件,用著很方便,很實(shí)用。
    發(fā)表于 03-21 17:26 ?20次下載

    verilog是什么_verilog的用途和特征是什么

    本文首先介紹了verilog的概念和發(fā)展歷史,其次介紹了verilog的特征與Verilog的邏輯門級(jí)描述,最后介紹了Verilog晶體管級(jí)描述與v
    發(fā)表于 05-14 14:22 ?4.7w次閱讀
    <b class='flag-5'>verilog</b>是什么_<b class='flag-5'>verilog</b>的用途和特征是什么

    Verilog HDL的基礎(chǔ)知識(shí)詳細(xì)說明

    硬件描述語(yǔ)言基本語(yǔ)法和實(shí)踐 (1)VHDL 和Verilog HDL的各自特點(diǎn)和應(yīng)用范圍 (2)Verilog HDL基本結(jié)構(gòu)語(yǔ)言要素與語(yǔ)法規(guī)則 (3) Verilog HDL組
    發(fā)表于 07-03 17:36 ?54次下載
    <b class='flag-5'>Verilog</b> HDL的基礎(chǔ)知識(shí)詳細(xì)說明

    比較Verilog中Wire和Reg的不同之處

    wire 和reg是Verilog程序里的常見的兩種變量類型,他們都是構(gòu)成verilog程序邏輯最基本的元素。正確掌握兩者的使用方法是寫好verilog程序的前提。
    的頭像 發(fā)表于 03-08 17:18 ?1.3w次閱讀

    關(guān)于Verilog語(yǔ)法你不知道的方法

    Verilog比較方便的一個(gè)特點(diǎn)就是數(shù)據(jù)的截取和拼接功能了,截取使用方括號(hào)[],拼接使用大括號(hào){}。
    的頭像 發(fā)表于 03-21 10:01 ?3776次閱讀
    關(guān)于<b class='flag-5'>Verilog</b>語(yǔ)法你不知道的方法

    Verilog在設(shè)計(jì)時(shí)候的不方便地方

    Verilog發(fā)布到今天,其已經(jīng)經(jīng)歷了四十年的風(fēng)雨,早期的“電路”設(shè)計(jì)Verilog的確很方便,尤其在那個(gè)年代,其也崔進(jìn)了集成電路的發(fā)展。但是“老”不代表方便,尤其高速發(fā)展的今天,集
    發(fā)表于 08-02 10:08 ?1210次閱讀

    二十進(jìn)制編碼器及Verilog HDL描述 Verilog HDL程序的基本結(jié)構(gòu)及特點(diǎn)

    節(jié)通過硬件描述語(yǔ)言Verilog HDL對(duì)二十進(jìn)制編碼器的描述,介紹Verilog HDL程序的基本結(jié)構(gòu)及特點(diǎn)。
    的頭像 發(fā)表于 08-28 09:54 ?4217次閱讀
    二十進(jìn)制編碼器及<b class='flag-5'>Verilog</b> HDL描述 <b class='flag-5'>Verilog</b> HDL程序的基本結(jié)構(gòu)及<b class='flag-5'>特點(diǎn)</b>

    Verilog與VHDL的比較 Verilog HDL編程技巧

    Verilog 與 VHDL 比較 1. 語(yǔ)法和風(fēng)格 VerilogVerilog 的語(yǔ)法更接近于 C 語(yǔ)言,對(duì)于有 C 語(yǔ)言背景的工程師來(lái)說,學(xué)習(xí)曲線較平緩。它支持結(jié)構(gòu)化編程,代
    的頭像 發(fā)表于 12-17 09:44 ?2353次閱讀