chinese直男口爆体育生外卖, 99久久er热在这里只有精品99, 又色又爽又黄18禁美女裸身无遮挡, gogogo高清免费观看日本电视,私密按摩师高清版在线,人妻视频毛茸茸,91论坛 兴趣闲谈,欧美 亚洲 精品 8区,国产精品久久久久精品免费

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Modelsim uvm庫編譯及執(zhí)行

454398 ? 來源:AI加速 ? 作者:AI加速 ? 2020-12-01 11:25 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

01. 下載modelsim軟件

下載modelsim,這里用的是modelsim10.4版本。鏈接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw

提取碼:6aof

完成下載和安裝,在安裝文件夾中可以看到uvm-1.1d,這是我們使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,這是已經(jīng)編譯過的uvm庫。

02. 編寫文件

以“hello,world”為例,編寫uvm源文件如下:

`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule

然后編寫一個windows下的執(zhí)行程序:run.bat。

其中只有一句話:

這個就是運行modelsim腳本文件sim.do。

Sim.do文件內(nèi)容如下:

第一句話是設(shè)置uvm環(huán)境變量,指定uvm的dpi位置。

第二句話是創(chuàng)建work工作目錄。

第三句話是編譯源文件,并且通過-L指定幾個編譯庫。

第三句是執(zhí)行仿真,調(diào)用uvm庫uvm_dpi。

第四句話是運行多長時間:100ns。

03. 執(zhí)行

雙擊run.bat,然后會調(diào)用modelsim進(jìn)行編譯和仿真。


編輯:hfy

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    174

    瀏覽量

    48653
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    183

    瀏覽量

    19859
收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評論

    相關(guān)推薦
    熱點推薦

    請問RT-Thread Studio可以編譯靜態(tài)(*.a)嗎?

    可以在RT-Thread Studio中創(chuàng)建生成靜態(tài)(*.a)的工程嗎?若不能可以用什么方式編譯靜態(tài)嗎?
    發(fā)表于 09-17 06:00

    NVMe高速傳輸之?dāng)[脫XDMA設(shè)計24: UVM 驗證包設(shè)計

    UVM 驗證包的主要功能是對 DUT 提供激勵, 仿真驗證對應(yīng)的功能, 并對測試結(jié)果進(jìn)行自動對比分析與統(tǒng)計。 驗證包包含一個NoPHAE_env 驗證環(huán)境, 驗證環(huán)境下包含
    的頭像 發(fā)表于 09-14 11:29 ?3369次閱讀
    NVMe高速傳輸之?dāng)[脫XDMA設(shè)計24: <b class='flag-5'>UVM</b> 驗證包設(shè)計

    NVMe高速傳輸之?dāng)[脫XDMA設(shè)計24: UVM 驗證包設(shè)計

    UVM 驗證包設(shè)計結(jié)構(gòu)如圖 1 所示。 UVM 驗證包的主要功能是對 DUT 提供激勵, 仿真驗證對應(yīng)的功能, 并對測試結(jié)果進(jìn)行自動對比分析與統(tǒng)計。 驗證包包含一個NoPHAE_env 驗證環(huán)境
    發(fā)表于 08-29 14:33

    NVMe高速傳輸之?dāng)[脫XDMA設(shè)計23:UVM驗證平臺

    抽象為 PCIeTLP 事務(wù),因此為了方便的在事務(wù)層構(gòu)建復(fù)雜的測試用例,項目基于 UVM 搭建驗證平臺進(jìn)行功能驗證。圖1 驗證平臺架構(gòu)圖在驗證平臺中將 PCIE 集成塊從待測試設(shè)計(Design
    發(fā)表于 08-26 09:49

    求助,關(guān)于NanoEdge AI Studio生成的交叉編譯器版本疑問求解

    我使用的是NanoEdge AI Studio v4.3.1,跟著官方交教程生成了libneai.a,我通過metadata.json文件得知生成這個的交叉編譯器版本是\"
    發(fā)表于 08-08 07:25

    NVMe高速傳輸之?dāng)[脫XDMA設(shè)計18:UVM驗證平臺

    抽象為 PCIeTLP 事務(wù),因此為了方便的在事務(wù)層構(gòu)建復(fù)雜的測試用例,項目基于 UVM 搭建驗證平臺進(jìn)行功能驗證。圖1 驗證平臺架構(gòu)圖在驗證平臺中將 PCIE 集成塊從待測試設(shè)計(Design
    發(fā)表于 07-31 16:39

    oracle數(shù)據(jù)恢復(fù)—oracle數(shù)據(jù)執(zhí)行錯誤truncate命令如何恢復(fù)數(shù)據(jù)?

    oracle數(shù)據(jù)執(zhí)行truncate命令導(dǎo)致數(shù)據(jù)丟失是一種常見情況。通常情況下,oracle數(shù)據(jù)誤操作刪除數(shù)據(jù)只需要通過備份恢復(fù)數(shù)據(jù)即可。也會碰到一些特殊情況,例如數(shù)據(jù)備份無法
    的頭像 發(fā)表于 06-05 16:01 ?517次閱讀
    oracle數(shù)據(jù)恢復(fù)—oracle數(shù)據(jù)<b class='flag-5'>庫</b>誤<b class='flag-5'>執(zhí)行</b>錯誤truncate命令如何恢復(fù)數(shù)據(jù)?

    RVCT編譯器是否比GNU的編譯器的代碼執(zhí)行速度更快?

    ,是rvct3.1還是rvct4.0?如何把rvct編譯器集成到ide中? 2、RVCT編譯器是否比GNU的編譯器的代碼執(zhí)行速度更快?
    發(fā)表于 05-08 07:49

    STM32CubeIDE編譯設(shè)置是否有像keil一樣有編譯執(zhí)行Bat腳本的功能和設(shè)置?

    STM32CubeIDE編譯設(shè)置問題,是否有像keil一樣有編譯執(zhí)行Bat腳本的功能和設(shè)置?或者有相關(guān)的腳本和插件?
    發(fā)表于 03-14 15:59

    【紫光同創(chuàng)盤古100Pro+開發(fā)板,MES2L676-100HP教程】盤古676系列——Modelsim的使用和do文件編寫

    ./src/test.v第一個work表示文件夾的名稱 、第二個work表示modelsim中l(wèi)ibrary的的名稱、第三個就是要編譯的文件的路徑。 vsim:表示啟動仿真。 add wave:表示
    發(fā)表于 02-25 18:36

    Triton編譯器與GPU編程的結(jié)合應(yīng)用

    Triton編譯器簡介 Triton編譯器是一種針對并行計算優(yōu)化的編譯器,它能夠自動將高級語言代碼轉(zhuǎn)換為針對特定硬件優(yōu)化的低級代碼。Triton編譯器的核心優(yōu)勢在于其能夠識別并行模式,
    的頭像 發(fā)表于 12-25 09:13 ?1147次閱讀

    Triton編譯器如何提升編程效率

    在現(xiàn)代軟件開發(fā)中,編譯器扮演著至關(guān)重要的角色。它們不僅將高級語言代碼轉(zhuǎn)換為機(jī)器可執(zhí)行的代碼,還通過各種優(yōu)化技術(shù)提升程序的性能。Triton 編譯器作為一種先進(jìn)的編譯器,通過多種方式提升
    的頭像 發(fā)表于 12-25 09:12 ?1028次閱讀

    Triton編譯器支持的編程語言

    Triton編譯器支持的編程語言主要包括以下幾種: 一、主要編程語言 Python :Triton編譯器通過Python接口提供了對Triton語言和編譯器的訪問,使得用戶可以在Python環(huán)境中
    的頭像 發(fā)表于 12-24 17:33 ?1318次閱讀

    如何快速入門HAL編程 HAL與裸機(jī)編程的比較

    。 選擇開發(fā)環(huán)境和工具 : 下載并安裝適用于目標(biāo)微控制器的IDE(如STM32CubeIDE)。 配置開發(fā)環(huán)境,包括編譯器、調(diào)試器等。 學(xué)習(xí)HAL文檔和教程 : 閱讀官方HAL文檔,了解HAL
    的頭像 發(fā)表于 12-02 11:39 ?1532次閱讀

    使用modelsim時的問題分析

    仿真對于FPGA設(shè)計來說至關(guān)重要,我們經(jīng)常使用modelsim來進(jìn)行功能仿真或者時序仿真,這樣就需要將modelsim和設(shè)計軟件(quartus ii)聯(lián)系起來,下面是設(shè)計者在使用modelsim時可能會遇到的問題。
    的頭像 發(fā)表于 10-24 18:15 ?2190次閱讀
    使用<b class='flag-5'>modelsim</b>時的問題分析